1IC電子サイコロ・その4

ブレッドボードで動作を確認。

テストなのでLEDの配置はサイコロの目になっていませんが、回路図通りに作ってきちんと点灯します。
振動を与えると、LEDの点灯パターンが0.5秒くらいチラチラと変化してから止まります。
ロジックIC1個で作る「1IC7点式電子サイコロ(地球上での使用に限る)」として十分機能することが確認できたので、実際に回路を組んで、箱に入れることにします。


箱は明治サイコロキャラメルの白地の方とします。1辺約25mm(1インチキューブなのかな?)
それに合わせて薄手の片面ガラスエポキシ基板から、24mm四方のサイズで2枚(IC基板とLED実装基板)切り出します。
1枚のランドは9×10、ただし10の両端は24mmに収まらないので穴ギリギリのところで切ります。


仮組状態の写真。

中央のLEDが光っています。正面から見るとかなりまぶしいです。
奥の金色の筒が傾斜スイッチです。よく振動するように、IC基板からにょっきりと立ててあります。そのため、LED実装基板の配線に使わない部分を切欠きにして傾斜スイッチの頭が通るようにしました。
電池はLR41×4個。電池ケースはフリスクの内箱を引き出したときに見える小部屋部分で作りました。
電池ケースの下にC-MOSの4015Bがあります。