1IC電子サイコロ・その2

ロジックIC1個でドライブできることが確認できたので、回路を作ってみます。
点灯パターンはBCを均等に使えている(ア)とします。


電子サイコロの作成、とりあえず課題と仕様の検討。

・仕様
 7つのLEDを点滅させてサイコロの出目1〜6をランダムに表現。
 出目の変化はボタン操作などのアクションで開始し、ある程度(あまり長いとダレる)変化した後に停止。
・条件
 ICはC-MOS汎用ロジックのみ使用する。
 LED点灯はIC直接駆動とし、ダイオードや抵抗によるマトリクス回路・ワイヤードORなどでデコードしない。
・努力目標
 パーツ数はできるだけ少なく。
 トランジスタ(FET,PUT含む)のディスクリート回路は、必須でないなら使わない。
・その他
 出目のランダム性は、結果に著しい片寄りや規則性がなく、通常の使い方で恣意的にならないならよしとする。
 ケースはサイコロキャラメルの箱かフリスク。電源は乾電池で3〜6V程度を検討。
 音は不要。回路やスペースに余裕があれば考える。
 国内のサイコロは1の目とそれ以外では色が異なるが、考慮しない。


本機ではトランジスタ類のディスクリート回路を使わないことにします。
発振回路をPUTで起こせば初ラの記事のように1ICの回路にできますが、PUTの入手性や、トランジスタで代用した場合の再現性など、失敗を考えると避けたほうがよいので。
でも、そうするとクロック源の代案が必要です。
一応4093BやNE555など使えそうな型番も手持ちにあるんだけど、発振回路だけに1IC増やすのもどうかなー。